Redundancy Efficient Crosstalk Avoidance Scheme in VLSI Circuits

  IJCOT-book-cover
 
International Journal of Computer & Organization Trends  (IJCOT)          
 
© 2013 by IJCOT Journal
Volume-3 Issue-2                          
Year of Publication : 2013
Authors :  Dr. K. Padmapriya , D. Gopinadh

Citation

  Dr. K. Padmapriya , D. Gopinadh     "Redundancy Efficient Crosstalk Avoidance Scheme in VLSI Circuits". International Journal of Computer & organization Trends (IJCOT), V3(2):5-8 Mar - Apr 2013, ISSN:2249-2593, www.ijcotjournal.org. Published by Seventh Sense Research Group.

Abstract

In deep sub micrometer design interconnect delay has become a major factor. Crosstalk is highly evident in deep sub micrometer design. Crosstalk depends on different data patterns that ar e transmitted on the bus. For the avoidance of crosstalk many schemes have been proposed which boost the bus speed or reduce energy consumption. High proportion of the proposed schemes are non linear in nature and are impractical. A crosstalk avoidance cod e which can be implemented practically is dealt with which is based on the representation of numbers in Fibonacci numeral system. An improved version of this scheme is later presented where data is represented in Fibonacci numeral system only if forbidden patterns are present in the data.

References

[1] M.MUTYAM,” preventing crosstalk delay using Fibonacci representation,” VLSI des., 2004,pp. 685 - 688
[2] P. SOTIRIADIS and A.CHANDRAKASAN, “L ow power bus encoding techniques considering inter wire capacitance,” in proc. IEEE - CICC, 2000, PP507 - 510.
[3] C.DUAN, A.TIRUMALA, S. P. KHATRI, “analysis and avoidance of crosstalk in on - chip bus,” in proc. ICCD, 2004, PP. 12 - 17
[4]B.VICTOR and K.KEUTZER, “b us encoding to prevent crosstalk delay,” in proc. ICCAD,2001, PP. 57 - 63
[5]S.P. KHATRI, “crosstalk noise immune VLSI design using regular layout fabrics,” Ph.d. dissertation. D ept. Elect. Eng. Comput. Sci. univ. California Berkeley , Berkeley, 1999
[6] C.DU AN and S.P. KHATRI, “exploiting crosstalk to spped up on chip busses “in proc. Int. conf. Autom. Test Eur., 2004,pp. 778 - 783.
[7] C.DUAN, K. Gulati, and S.P. Khatri, “Memo ry - Based cross - talk cancelling CODECs for on - chip busses”, in Proc. ISCAS, 2006, pp.1 119 - 1123.
[8] CHUNJIE DUAN, VICTOR H.CORDERO CALLE, SUNIL P. KHATRI, “efficient on - chip crosstalk avoidance code,” in IEEE TRANSACTIONS ON VLSI VOL. 17, NO.4, APRIL 2009.
[9] WIKIPEDIA, “NUMERAL SYSTEM” .

Keywords

Codec, crosstalk, Fibonacci number, on - chip bus, deep sub micrometer.